// Verilog Coding
// Division By Constant 2
// -------------------------------
module v_divider_1 (DI, DO);
input [7:0] DI;
output [7:0] DO;
assign DO = DI / 2;
endmodule
-- VHDL Coding
-- Division By Constant 2
-----------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity divider_1 is
port(DI : in unsigned(7 downto 0);
DO : out unsigned(7 downto 0));
end divider_1;
architecture archi of divider_1 is
begin
DO <= DI / 2;
end archi;
// Division By Constant 2
// -------------------------------
module v_divider_1 (DI, DO);
input [7:0] DI;
output [7:0] DO;
assign DO = DI / 2;
endmodule
-- VHDL Coding
-- Division By Constant 2
-----------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity divider_1 is
port(DI : in unsigned(7 downto 0);
DO : out unsigned(7 downto 0));
end divider_1;
architecture archi of divider_1 is
begin
DO <= DI / 2;
end archi;
No comments:
Post a Comment